systemverilog中的时间单位和时间精度

  • Post author:
  • Post category:其他


1. `timescale

`timescale是systemverilog/verilog的预编译指令。指定位于该语句后面的time scope (module/program/package/interface等等)的时间单位和时间精度。直到遇到新的`timescale指令或者`resetall指令。

2. timeunit和timeprecision

timeunit关键字用于指定当前time scope的时间单位,timepresision用于指定当前time scope的时间精度。他们的作用域都是当前time scope。并且其优先级要高于`timescale预编译指令。

3. $printtimescale

$printtimescale是systemverilog的系统函数,可以打印当前module或者指定module的时间精度和时间单位。



版权声明:本文为HelloQili原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。