2021-05-31

  • Post author:
  • Post category:其他


Vivado建立工程步骤

(1)打开vivado

(2)Quick Start——Create Project——工程名字与位置——器件型号——添加设计源文件——编写代码

(3)添加管脚约束文件(3种方法:手动添加XDC PIN脚约束文件;加入已写好的约束文件;综合后添加管脚约束)

①手动添加XDC PIN脚约束文件:Add Source——添加约束文件(.xdc)——编写管脚约束文件——保存。

②加入已写好的约束文件:Add Source——添加约束文件(.xdc)——添加已有约束文件——保存。

③综合后添加管脚约束:码好.v文件——Run Synthesis——Synthesis successfully completed弹出

选择 Open Synthesized Design ——SYNTHESIS下的Schematic——点击Schematic窗口中的I/O Ports——在 Find Results 中进行管脚配置(配置程序中信号对应的FPGA管脚,管脚的电平)——保存——命名(.xdc)。

(4)编译和产生bit文件

综合(Run Synthesis)——执行(Run Implement)——生成bit文件。

(5)下载程序



版权声明:本文为a9062985原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。