LUT 查找表(Look-Up-Table)

  • Post author:
  • Post category:其他


LUT就是查找表,对于4输入的LUT而言,实际上就是4位地址位,一位数据位的存储器,能够存储16位数据,所以我们在FPGA设计中可以用LUT组建分布式的RAM。

如果用传统的逻辑来实现一个4输入的逻辑电路,需要大致三个步骤:1、看真值表找出输入与输出之间的关系;2、简化电路;3、通过各种门来实现电路;

但在FPGA中采用的是LUT的方式,通过输入直接查找对应的输出值,大大的节省了门运算的时间,同时相对于传统逻辑电路来说,既能够实现功能,又能够节省时间并且更准确。



版权声明:本文为m0_73757164原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。