FPGA直方图均衡化 FPGA图像处理

  • Post author:
  • Post category:其他


FPGA直方图均衡化 FPGA图像处理

本的工程和算法包含以下内容:

1,MATLAB中实现图像处理。

2,verilog代码利用MATLAB联合modelsim仿真实现图像处理。

3,实验对应技术博客讲解。

4,效果展示。

文件包含:

01 参考资料:包含各种比较好的FPGA图像处理类PDF以及一些MATLAB代码。

02 图像仿真平台:利用MATLAB联合Modelsim,实现FPGA图像处理的仿真过程,可以看到算法实现过程中的波形细节。

此文件夹为例程,后续的算法也都包含有这个仿真过程,具体操作也写好了技术博客。

03 串口传图 :采用MATLAB讲图片转为hex文本,通过串口助手下发到FPGA板卡,最终显示在屏幕上。

该工程是图像处理的基础,传好了图才能做后续的处理嘛。

除了串口传图,有些算法也用到了cmos摄像头,在后续工程里也是有的。

04 RGB分量转Gray:这是图像处理的开篇之作,用一个最简单的算法实现灰度转换。

通过按键可在开发板上看到不同分量转灰度的效果差异。

05 RGB转YCbCr转Gray:这种灰度转换效果比RGB分量效果要好,流水线思想进行数据转换,最后在板卡上可以通过按键看到不同方法实现灰度的差异。

06 直方图均衡化:提供若干个MATLAB图像处理的代码,最后用Verilog实现直方图均衡化,调用了两个双口ram,一个用于存储统计结果,一个用于映射输出。

有理论支撑,有仿真波形,有详细代码

请添加图片描述


ID:6950


636061907357

请添加图片描述



版权声明:本文为2201_76056168原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。