Quartus编译成功后,查看原理图/电路图的步骤

  • Post author:
  • Post category:其他


步骤:

输入代码,选择Processing > start > Analysis & Elaboration

Tools > Netlist viewer > RTL viewer



版权声明:本文为weixin_42654892原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。