verilog编程题-约翰逊计数器

  • Post author:
  • Post category:其他




题目

约翰逊(扭环形)计数器是基于移位寄存器的计数器,用N个寄存器表示2N个状态,请设计一个4位约翰逊计数器,和格雷码有点类似,相邻两个状态之间只有1bit是不同的。

在这里插入图片描述



代码



DUT

module johnson_cnt(
	input wire clk,
	input wire rst_n,
	output reg [3:0] out
	);

	always_ff @(posedge clk or negedge rst_n) begin : proc_out
		if(~rst_n) begin
			out <= 'd0;
		end else begin
			out <= {~out[0], out[3:1]} ;
		end
	end

endmodule



TB

module tb_johnson_cnt();


	reg clk, rst_n;
	wire [3:0] out;

	initial begin 
		clk = 'b0;
		rst_n = 'b0;
		# 10
		rst_n = 'b1;

		# 2000
		$finish;
	end

	always #5 clk = ~clk;

	johnson_cnt dut(
		clk,
		rst_n,
		out
		);


endmodule



仿真

在这里插入图片描述



小结

约翰逊计数器由于相邻两个状态跳转的时候只有1bit发生变化,所以译码电路简单,同时也可以减少竞争冒险现象的发生。一开始看这个题的时候,想到的是Q1-Q4这4个寄存器,也就是一列一列的看,发现Q3寄存Q4, Q2寄存Q3,Q1寄存Q2, Q4寄存~Q1,于是就想到了移位打拍,本质与这样用一个式子表示出来是一样的。

参考链接:https://wenku.baidu.com/view/0b116b23c1c708a1294a446e.html



版权声明:本文为mu_guang_原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。