uvm重载一个object

  • Post author:
  • Post category:其他




uvm重载一个object

使用set_inst_override_by_type()重载transaction这个object

可以在class tc_base extends uvm_test;中

set_inst_override_by_type(“oEnv.oRISGEnv.oAgt.oMon.trans_act”,risg_vip_cmp::get_type(),risg_vip_cmp1::get_type());


重点1:需要重载的object的声明的相对路径要填对

class risg_vip_mon extends uvm_monitor;

risg_vip_cmp trans_act;



endclass


重点2:使用factory.print(0);来调试


重点3:正在尝试



版权声明:本文为weixin_43129420原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。