Modelsim报错:The design unit was not found 或 Module XXX is not defined

  • Post author:
  • Post category:其他


在这里插入图片描述

Modelsim报错:**Error: (vaim-3033)G: /amei fpga tem/claa/cla1 fifo/pr/./testbench/mdcf t.(34): Intatatio of mydcfio failed. The design unit was not found

在这里插入图片描述

Modelsim报错:Error: D: /Software/FPGA/ORT/Xaomeige/prj/fi fo/testbench/mydcfifo tb v(22): Modulemydcfifo’is not defined

问题原因:tb文件中被例化的模块(需要被仿真的模块)没有被设置为顶层

解决方案:将被例化的模块设置为顶层



版权声明:本文为LacyExsale原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。