寄存器堆 (Register File)

  • Post author:
  • Post category:其他



寄存器文件

(register file)又称寄存器堆,是

CPU

中多个

寄存器

组成的阵列,通常由快速的静态随机读写存储器(SRAM)实现。这种RAM具有专门的读端口与写端口,可以多路并发访问不同的寄存器。

CPU的

指令集架构

总是定义了一批寄存器,用于在内存与CPU运算部件之间暂存数据。在更为简化的CPU,这些架构寄存器(architectural registers)一一对应与CPU内的物理存在的寄存器。在更为复杂的CPU,使用

寄存器重命名

技术,使得执行期间哪个架构寄存器对应于哪个寄存器堆的物理存储条目(physical entry stores)是动态改变的。寄存器堆是指令集架构的一部分,程序可以访问,这与透明的CPU高速缓存(cache)不同。



版权声明:本文为cy413026原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。