vivado的pll时钟约束的重命名

  • Post author:
  • Post category:其他


Vivado的pll约束(重命名)主要是下面这三句话

create_generated_clock -name clk_100m -source [get_pins u_my_pll/inst/plle2_adv_inst/CLKIN1] -multiply_by 2 -add -master_clock clk_in [get_pins u_my_pll/inst/plle2_adv_inst/CLKOUT0]

set_false_path -from [get_clocks clk_100m] -to [get_clocks clk_out1_my_pll]

set_false_path -from [get_clocks clk_out1_my_pll] -to [get_clocks clk_100m]

在vivado中pll会自动约束,一般约束的名字即为pll输出到BUFG/I线路的名字

即约束语句如下

create_generated_clock -name clk_out1_my_pll [get_pins u



版权声明:本文为wuzhouqingcy原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。