IC学习笔记:04.SystemVerilog中的数组维度,$size()函数以及解释填充数组和非填充数组定义的区别

  • Post author:
  • Post category:其他


1、多维数组的声明

以二维数组为例,类比矩阵,高维度称之为行,低维度称之为列。

//8行4列
int a[0:7][0:3];
int b[8][4];

int [7:0][3:0] c;
int [8][4] d;

刚开始学的时候会有疑问,什么时候声明用[7:0],何时要用[0:7]?

若矩阵名为a,则写在其右边的用[0:7],左侧则为[7:0]。

2、维度与$size()

先附上SV的IEEE标准文档中的一段代码:

//Dimension numbers
//      3     4       1     2
logic [3:0] [2:1] n [1:5] [2:8];
typedef logic [3:0] [2:1] packed_reg;
packed_reg n [1:5] [2:0]; //same dimensions as in lines above

可见,对于unpacked类型数组n,声明时写在数组名“n”右侧的维度等级高于左侧的维度等级。在同一侧中,位于更左侧”[ ]”中的等级要高。

因此,

int a[0:7][0:3];           //高等级为[0:7],低等级为[0:3]
int [3:0] unpacked_a [0:7];//高等级为[0:7],低等级为[3:0]

而$size()函数可以选择需要计算的维度,例如

$size(a,1);               //数组高维度是几?答案8
$size(a,2);               //数组低维度是几?答案4
$size(a);                 //答案8,默认为高维

3、解释填充数组和非填充数组定义的区别,数据存取的区别?

答:(1)合并数组和非合并数组

①合并数组:存储方式是连续的,中间没有闲置空间。

例如,32bit的寄存器,可以看成是4个8bit的数据,或者也可以看成是1个32bit的数据。

表示方法:数组大小和位,必须在变量名前指定,数组大小必须是【msb:lsb】

bit[3:0] [7:0] bytes ;//[3:0]是位 [7:0]是数组大小

一般仿真器存放数组元素时使用32bit的字边界,byte、shortint、int都放在一个字中。

②非合并数组:字的地位存放变量,高位不用。

bit [7:0] bytes; //表示方法

(2)二维数组和合并数组识别:

合并数组: bit [3:0] [7:0] arrys; 大小在变量名前面放得,且降序

二维数组: int arrays[0:7] [0:3] ; 大小在变量名后面放得,可降序可升序

位宽在变量名前面,用于识别合并和非合并数组,位宽在后面,用于识别数组中元素个数。

(3)合并数组和非合并数组的选择

①当需要以字节或字为单位对存储单元操作。

②当需要等待数组中变化的,则必须使用合并数组。例如测试平台需要通过存储器数据的变化来唤醒,需要用到@,@只能用于标量或者合并数组。

bit [3:0] [7:0] barray[3] ;  /*表示合并数组,合并数组中有3个元素,每个元素时8bit,
4个元素可以组成合并数组,可以使用barry[0]作敏感信号。*/



版权声明:本文为weixin_56245975原创文章,遵循 CC 4.0 BY-SA 版权协议,转载请附上原文出处链接和本声明。